查看: 998|回复: 0
打印 上一主题 下一主题

stm8蜂鸣器(beep)发声实验

[复制链接]
跳转到指定楼层
沙发
发表于 2016-4-25 21:34:42 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
参考了CoolBird007及wanghengzhi的代码例子,将蜂鸣器搞响了!不敢独享,公布如下。
CoolBird007的例子
//stm8s105k4的beep例子。设置OPTION BYTE把PD4设为备选功能BEEP
#include <iostm8s105.h>  
main()
{
BEEP_CSR = 0xbe; //开
//BEEP_CSR &=~0x20; //关
while (1);
}
wanghengzhi的例子
//北京微芯力科三合一开发套件,内部时钟,没有精确测试,仅凭感觉
void  delay(unsigned int Xms)
{
    unsigned int i ;
    while (Xms--)
    {   
        i = 120;
        while(i--);
    }        
}

void main ( void )
{     
    BEEP_LSICalibrationConfig(128000);
    BEEP_Init(BEEP_FREQUENCY_2KHZ);
    BEEP_Cmd(ENABLE);  
    while(1)
    {
        delay(1000);
        BEEP_Cmd(ENABLE);
        delay(1000);
        BEEP_Cmd(DISABLE);
    }  
}  
重申下,使用这两个例子之前,务必使用stvp(st visual programmer)把 Option Bytes 里的AFR7改为"Port D4 Alternate Function = Beep",否则没有声音
点击此处下载 ourdev_571897.rar(文件大小:225K) (原文件名:stm8_ku.rar)
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 加入因仑

本版积分规则

快速回复 返回顶部 返回列表