查看: 1529|回复: 0
打印 上一主题 下一主题

FPGA系统设计基础

[复制链接]
跳转到指定楼层
沙发
发表于 2015-10-7 14:25:49 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1章 FPGA系统设计基础
 1.1 FPGA技术的发展历史和动向
 1.2 FPGA的典型应用领域
 1.3 FPGA的工艺结构
 1.4 主流的FPGA芯片厂家及其代表产品
 1.5 工程项目中FPGA芯片选择策略和原则
2章 从零开始设计FPGA最小系统
 2.1 FPGA最小系统的概念
 2.2 FPGA最小系统电路分析
 2.3 FPGA硬件系统的设计技巧
 2.4 FPGA硬件系统的调试方法
 2.5 典型实例1:在AlteraFPGA开发板上运行第一个FPGA程序
 2.6 典型实例2:在XilinxFPGA开发板上运行第一个FPGA程序
3章 硬件描述语言Verilog HDL基础
 3.1 Verilog HDL语言简介
 3.2 Verilog HDL程序基本结构
 3.3 Verilog HDL语言的数据类型和运算符
 3.4 Verilog HDL语言的赋值语句和块语句
 3.5 Verilog HDL语言的条件语句
 3.6 Verilog HDL语言的其他常用语句
   3.7 Verilog HDL语言实现组合逻辑电路
 3.8 Verilog HDL语言实现时序逻辑电路
 3.9 Verilog HDL语言与C语言的区别与联系
 3.10 Verilog HDL程序设计经验
 3.11 典型实例3:数字跑表
 3.12 典型实例4PS/2接口控制
 3.13 典型实例5:交通灯控制器
4章 硬件描述语言Verilog HDL设计进阶
 4.1 taskfunction说明语句的区别
 4.2 Verilog HDL高级语法结构——任务(TASK
 4.3 Verilog HDL高级语法结构——任务(function
 4.4 有限状态机的设计原理及其代码风格
 4.5 逻辑综合的原则以及可综合的代码设计风格
 4.6 典型实例6:状态机应用
 4.7 典型实例7:自动转换量程频率计控制器
 4.8 典型实例8:使用函数实现简单的处理器
5章 FPGA设计开发软件Quartus II的使用技巧
 5.1 Quartus II软件简介
 5.2 Quartus II软件新特性
 5.3 Quartus II软件的安装与启动
 5.4 Quartus II软件设计流程
 5.5 创建工程设计文件
 5.6 编译及仿真工程
 5.7 约束及配置工程
 5.8 LogicLock逻辑锁定工具使用技巧
 5.9 SignalTap II在线逻辑分析仪的使用方法
 5.10 典型实例9SignalTap II功能演示
 5.11 典型实例10LogicLock功能演示
6章 FPGA设计开发软件ISE使用技巧
 6.1 ISE软件简介
 6.2 ISE软件的安装与启动
 6.3 ISE软件的设计流程
 6.4 创建设计工程
 6.5 编译与仿真设计工程
 6.6 增量式设计(Incremental Design)技巧
 6.7 片上逻辑分析仪(ChipScope Pro)使用技巧
 6.8 典型实例11ChipScope功能演示
 6.9 典型实例12:增量式设计(Incremental Design)演示
7章 FPGA系统设计的仿真验证
 7.1 FPGA设计仿真验证的原理和方法
 7.2 ModelSim仿真工具简介
 7.3 ModelSim的仿真流程
 7.4 功能仿真和时序仿真的区别和实现方法
 7.5 仿真测试文件(Testbench)的设计方法
 7.6 典型实例13SDRAM读写控制的实现与Modelsim仿真
8章 基于FPGA的片上可编程系统(SOPC)设计
 8.1 基于FPGASOPC系统组成原理和典型方案
 8.2 Altera公司的NIOS II解决方案
 8.3 基于NIOS II的开发设计流程
 8.4 典型实例14:基于NIOSII处理器的HelloLED程序设计
 8.5 典型实例15:基于NIOSII处理器的数字钟设计
9章 FPGA系统设计原则和技巧
 9.1 FPGA系统设计的3个基本原则
 9.2 FPGA系统设计的3种常用技巧
 9.3 FPGA系统设计的3种常用IP模块
10章 利用FPGA实现外设通信接口
 10.1 FPGA在外设接口实现方面的优势
 10.2 利用FPGA实现RS-232C串行接口
 10.3 利用FPGA实现USB 2.0通信接口
 10.4 利用FPGA实现常用显示接口(Display Interface
 10.5 利用FPGA实现A/DD/A转换器接口
 10.6 典型实例16RS-232CUART)接口的设计与实现
 10.7 典型实例17USB 2.0接口的设计与实现
 10.8 典型实例18:字符LCD接口的设计与实现
 10.9 典型实例19VGA接口的设计与实现
10章 FPGADSP协同处理系统设计
 11.1 基于FPGA+DSP协同处理平台的优势和适用领域
 11.2 基于FPGA+DSP的协同处理平台的设计流程
 11.3 FPGADSP的通信接口设计
 11.4 FPGA+DSP协同平台的调试技巧和注意事项
 11.5 典型实例20FPGA片上硬件乘法器的使用
 11.6 典型实例21:整数DCT变换的设计与实现
12章 数字图像倍焦系统设计与实现综合实例
 12.1 设计需求分析与芯片选型
 12.2 系统工作原理分析
 12.3 系统原理框图
 12.4 FPGA内部结构设计
 12.5 系统硬件配置方案
 12.6 FPGA在其他视频和图像处理系统中的应用
13章 高速PCI信号采集卡设计与实现综合实例
 13.1 设计需求分析与功能定义
 13.2 系统工作原理分析  
 13.3 PCI接口芯片PCI9054FPGA的接口设计
 13.4 PCI卡的驱动程序设计
 13.5 主机应用程序和驱动程序的接口设计
 13.6 FPGA内部结构设计
 13.7 硬件系统实现
 13.8 样机的调试方法和技巧
 13.9 产品稳定性和可靠性测试
 13.10 产品定型和设计文档备案


回复

使用道具 举报

您需要登录后才可以回帖 登录 | 加入因仑

本版积分规则

快速回复 返回顶部 返回列表