因仑“3+1”工程特种兵精英论坛

标题: stm8蜂鸣器(beep)发声实验 [打印本页]

作者: 惨淡的人生    时间: 2016-4-25 21:34
标题: stm8蜂鸣器(beep)发声实验
参考了CoolBird007及wanghengzhi的代码例子,将蜂鸣器搞响了!不敢独享,公布如下。
CoolBird007的例子
//stm8s105k4的beep例子。设置OPTION BYTE把PD4设为备选功能BEEP
#include <iostm8s105.h>  
main()
{
BEEP_CSR = 0xbe; //开
//BEEP_CSR &=~0x20; //关
while (1);
}
wanghengzhi的例子
//北京微芯力科三合一开发套件,内部时钟,没有精确测试,仅凭感觉
void  delay(unsigned int Xms)
{
    unsigned int i ;
    while (Xms--)
    {   
        i = 120;
        while(i--);
    }        
}

void main ( void )
{     
    BEEP_LSICalibrationConfig(128000);
    BEEP_Init(BEEP_FREQUENCY_2KHZ);
    BEEP_Cmd(ENABLE);  
    while(1)
    {
        delay(1000);
        BEEP_Cmd(ENABLE);
        delay(1000);
        BEEP_Cmd(DISABLE);
    }  
}  
重申下,使用这两个例子之前,务必使用stvp(st visual programmer)把 Option Bytes 里的AFR7改为"Port D4 Alternate Function = Beep",否则没有声音
点击此处下载 ourdev_571897.rar(文件大小:225K) (原文件名:stm8_ku.rar)





欢迎光临 因仑“3+1”工程特种兵精英论坛 (http://bbs.enlern.com/) Powered by Discuz! X3.4